Click here to return to the VHDL Reference Guide. (last edit: 24. september 2012)

Disconnect

Defines the delay with which the drivers of a guarded signal are disconnected from the resolution function, when the signal is assigned by a guarded signal assignment. A driver is disconnected by assigning the signal the value null.

Syntax

  disconnect SignalName, ... : TypeName
                                     after TimeExpression;
    

Where

package--end entity-is--begin-end architecture-is--begin-end block--begin-end generate--begin-end See Declaration

Rules

The list of signal names may be replaced by others or all. Each signal must be declared as a guarded signal, and must be assigned in a guarded signal assignment.

Synthesis

Not synthesizable.

Tips

Don't use guarded signals or disconnection!

Example

  disconnect Foo: Std_logic after 10 NS;
    

See Also

Signal, Signal Assignment, Block, Data Type, Null